當前位置:旅游攻略大全網 - 租赁信息 - eda編程9人投票程序詳解?

eda編程9人投票程序詳解?

eda編程9人表決器程序詳解://本程序用VERILOG HDL語言實現,描述9人表決器。

模塊標記(vote,ledr,ledg,dis_out)

輸入[8:0]投票

reg[6:0]dis _ out;

整數I,sum//合計同意的人數。

for(I = 0;我& lt=8;i=i+1)

if(vote[I])sum & lt;= sum+1;

結束

Always @(sum) //結果通過dis_out顯示在數碼管上。

案例(總和)

0:dis _ out[6:0]& lt;= 7 ' b 1111110;

1:dis _ out[6:0]& lt;= 7 ' b 0110000;

2:dis _ out[6:0]& lt;= 7 ' b 1101101;

3:dis _ out[6:0]& lt;= 7 ' b 1111001;

4:dis _ out[6:0]& lt;= 7 ' b 0110011;

5:dis _ out[6:0]& lt;= 7 ' b 1011011;

6:dis _ out[6:0]& lt;= 7 ' b 1011111;

7:dis _ out[6:0]& lt;= 7 ' b 1110000;

8:dis _ out[6:0]& lt;= 7 ' b 1111111;

9:dis _ out[6:0]& lt;= 7 ' b 1111011;

末端模塊

內容簡介

本書從實際應用的角度出發,全面系統地介紹了EDA技術和硬件描述語言VHDL,並將VHDL的基礎知識、編程技巧、實用方法和實際工程開發技術結合在EDA軟件設計平臺上,使讀者通過本書的學習能夠快速了解和掌握EDA技術的基礎理論和實際工程開發技術。